Advanced Search:

Contact us

联系我们

Telephone:(852) 2838 3620

Email:sales@silverwing.com.hk

Address: Unit 2, 4/F, Kwai Cheong Centre, 50 Kwai Cheong Road, Kwai Chung, New Territories, Hong Kong

Inventory of global semiconductor equipment manufacturers

Source: Time:2018-10-25 16:04:50 views:

Global Semiconductor Equipment Manufacturers Top 12

1、Applied Materials

        Applied Materials is a semiconductor and display manufacturing equipment company. Applied Materials was founded in 1967. In FY2017, Applied Materials achieved a turnover of $14.5 billion and has 90 branches in 17 countries. The number of employees worldwide is 18,400. people. Has more than 11,900 patents. In 1984, Applied Materials established the China Customer Service Center in Beijing, becoming the first international chip manufacturing equipment company to enter China. Through long-term participation in China's high-tech manufacturing industry, Applied Materials provides equipment and services for the semiconductor, advanced display and solar photovoltaic manufacturing industries.

        As a veteran US semiconductor equipment manufacturer, Applied Materials (AMAT) is the world's largest semiconductor equipment company, spanning almost all semiconductor devices except lithography machines such as CVD, PVD, etching, CMP, RTP. Ranked first among global wafer processing equipment suppliers, the market share of applied materials is about 19%. Among them, in the PVD field, applied materials account for nearly 85% of the market share, and CVD accounts for 30%.

2、Lam Research (panlin)

        LamResearch is the leader in the field of etching equipment. Lam Research is one of the leading suppliers of wafer fabrication equipment and services to the world's semiconductor industry. Mainly engaged in semiconductor production equipment, development, manufacturing, sales and after-sales service. The company's products include plasma etching machines, chemical mechanical polishing and cleaning equipment. Headquartered in Silicon Valley, California, it is a NASDAQ listed company. The company has more than 40 branch offices and customer service centers around the world. Magnesium Technology, Samsung Electronics, SK Hynix, etc. are all major customers.

3、Tokyo Electron (Tokyo Electronics)

        Established in 1963, Tokyo Electron LTD (TEL) is the world's leading manufacturer of semiconductor manufacturing equipment and liquid crystal display equipment. Tokyo Electronics is the largest manufacturer of IC and PFD equipment in Japan and the third largest manufacturer of IC and PFD equipment in the world. Tokyo Electronics has made tremendous contributions to the development of the global electronics industry in the manufacturing of semiconductors and liquid crystal displays. TEL Group has established its own network in Japan, the United States, Europe, Taiwan, South Korea and China. Tokyo Electronics' film deposition and etching equipment revenues have grown tremendously in 2016, and these two devices are used to produce large-capacity 3D memories.

        In the 2018 fiscal year, the company's operating income increased by 37.96%, and its net profit increased by 73.09%. The company pays great attention to R&D investment. The planned research and development cost in FY18 is about 120 billion yen (about 8 billion yuan), and the equipment investment is 51 billion yen (about 3 billion yuan).

4、ASML (Asma)

        ASML (full name: Advanced Semiconductor Material Lithography), the current full name is not used as a company logo, the company's registered logo is ASML Holding N. V), Chinese name is Asma (China), Esmol (Taiwan, China). It is one of the world's largest semiconductor equipment manufacturers based in Veldhoven, The Netherlands.

        ASML provides lithography machines and related services to semiconductor manufacturers. The TWINSCAN series is the world's highest precision, most efficient and most widely used high-end lithography machine. At present, most semiconductor manufacturers in the world purchase TWINSCAN models from ASML, such as Intel, Samsung, Hynix, TSMC, and SMIC. At present, ASML in the Netherlands has almost monopolized high-end lithography machines with a market share of 80%. Only ASML can produce EUV (Ultraviolet lithography machine) in the world. ASML's new EUV lithography machine can be used for the trial production of 7nm process, and the price is as high as 100 million US dollars.

5、KLA-Tencor (Ke Tian)

        KLA-Tencor was formed in April 1997 by the merger of KLA Instruments (KLA) and Tencor Instruments (Tencor). Both companies have been long-time leaders in the semiconductor equipment and yield management software systems industry. Prior to the merger, the two companies focused on inspection and measurement, KLA focused on defect detection solutions, and Tencor focused on measurement solutions. Through a $1.3 billion one-to-one stock swap, KLA-Tencor has become one of the industry's top process control suppliers and offers customers a complete range of yield management products and services.

        KLA-Tencor is a leader in process control and yield management solutions, working with customers around the world to develop state-of-the-art inspection and measurement technologies. These technologies are dedicated to semiconductor, LED and other related nanoelectronics industries. Since its establishment, Kelei has been deeply involved in the semiconductor testing equipment industry. At present, its product types have covered all kinds of front optical and electron beam measuring equipment in the processing technology. With its efficient and accurate performance characteristics, Kelei has an absolute leading position in the front-end testing equipment industry with a market share of 52%.

6、Screen Semiconductor Solutions

       SCREEN is headquartered in Japan. Founded in 1868, the company developed a wafer etching machine in 1975, officially opening the road to semiconductor device manufacturing. In the following 40 years, Deans focused on the development and promotion of semiconductor manufacturing equipment, especially cleaning equipment, and developed various cleaning equipments suitable for various environments, and obtained in three main areas of semiconductor cleaning. The first market share.

        Deans has four main business directions, semiconductor manufacturing equipment, image intelligence processing machines, liquid crystal manufacturing equipment, and printed circuit board equipment. Semiconductor manufacturing equipment includes cleaning, coating and annealing equipment, which is a major part of the company's revenue, accounting for 66.7% of total revenue in 2017. From the 2016 fiscal year, in the semiconductor manufacturing equipment, cleaning equipment revenue accounted for 90% of the business income. Dean is not only in the semiconductor cleaning equipment, but also in the image information processing machine and liquid crystal manufacturing equipment industry. In the field of image intelligence processing machines, the company's offline direct printing plate (CTP technology) equipment market share is 31%, ranking first in the world. In the field of liquid crystal manufacturing equipment, the market share of liquid crystal coating machines is 71%, which is also the first in the world.

7、SEMES (fine beauty)

        Founded in 1993, SEMES is a comprehensive equipment manufacturer focusing on semiconductor and FPD business. In 2004, it established the third factory for the production of TFT LCD devices. Semes is Korea's largest manufacturer of pre-processed semiconductor equipment and display manufacturing equipment. It can be called the largest semiconductor equipment factory in Korea, mainly producing cleaning, lithography and packaging equipment.

8、Hitachi High-Technologies (Hitachi High-tech)

        Hitachi-High Technologies was founded in 2001 by Hitachi Ltd. Instruments Group and Semiconductor Manufacturing Equipments Group and Nissei Sangyo Co. ,Ltd. (A company focused on electronics) merged.

        Hitachi High-tech equipment includes: semiconductor manufacturing equipment such as chip mounters and etching and inspection systems; analytical and clinical instruments such as electron microscopes and DNA sequencers; flat panel displays (FPD), liquid crystal displays (LCDs) and hard disk manufacturing Equipment; metering and inspection equipment. The company also sells steel, plastics, silicon chips, fine chemicals, optical components and automotive related equipment and materials. Hitachi High-Tech's sales in Japan accounted for 42%. Hitachi owns nearly 52% of the company's shares. In terms of semiconductor equipment, Hitachi High-tech mainly produces deposition, etching, inspection equipment, and packaging and packaging equipment.

9、Hitachi Kokusai (Hitachi International Electric)

        Founded in October 2000, Hitachi Kokusai Electric was formed by the merger of three Hitachi Group companies engaged in video, wireless communications and semiconductor manufacturing equipment. International Electric: engaged in wireless communication equipment and semiconductor manufacturing, established in 1949; Hitachi Electronics: engaged in the production of wireless communication equipment and imaging equipment, established in 1948; Yagi Antenna, founded by the Yagi Antenna, invented by Yagi Antenna in 1952 , has an antenna patent. The company's semiconductor equipment is mainly heat treatment equipment.

10、Daifuku (large)

        Since its establishment in 1937, Daifuku (Group) has been committed to the development and research of material handling technology and equipment. Taifook (Group) has six main businesses: manufacturing and distribution industries; semiconductors, liquid crystal manufacturing; automotive manufacturing; airport-specific systems; car washing machines and related products; Among them, in the semiconductor and liquid crystal manufacturing industries, it provides automated clean room transportation and storage systems for semiconductor and liquid crystal manufacturing industries. The system plays a vital role in the production of semiconductors and liquid crystal displays for smartphones and tablets, and has achieved considerable sales in countries such as North America, South Korea, China and Taiwan.

11、ASM International (first domain)

        ASMI (ASM International) is headquartered in Almere, the Netherlands, and is listed on the Euronext Amsterdam. The manufacturing industry is located in Singapore and South Korea. ASMI produces lithography, deposition, ion implantation and single wafer epitaxy equipment, specializing in atomic layer deposition (ALD) and plasma enhanced atomic layer deposition (PEALD) products. ASM is part of the ASM International NV Group, which also includes ASM Pacific Technology (ASMPT). ASM is a leading supplier of wafer processing semiconductor process equipment. The company pioneered many important aspects of wafer processing technology used in the industry, including lithography, deposition, ion implantation and single wafer epitaxy. In recent years, the company has introduced R&D's atomic layer deposition (ALD) and plasma enhanced atomic layer deposition (PEALD) directly into mainstream manufacturing by advanced manufacturers. With approximately 2% majority ownership, ASMP is a leading supplier of semiconductor process equipment for wafer assembly and packaging and surface mount technology.

12、Nikon (Nikon)

        Founded in 1917, Nikon was first introduced by camera and optical technology. In 1980, it began research on semiconductor lithography equipment. In 1986, the first FPD lithography equipment was introduced. Nowadays, the business line covers a wide range. Nikon is a manufacturer of semiconductor and panel lithography equipment, as well as health medical and industrial measurement equipment such as goggles, ophthalmic inspection equipment, binoculars, microscopes, and survey equipment. In terms of FPD lithography, Nikon can take advantage of its advantages. Nikon's range of machines ranges from small panels with unique multi-lens projection optics to small and medium panels in smart devices.

        Although Nikon is far less than ASML in chip lithography, the current products are still in ArF and KrF sources, and the price is much lower than ASML, which is more difficult to compare with EUV. But at present, its profitability is also largely dependent on lithography equipment, especially chip lithography equipment. In 2017, lithography equipment revenue accounted for up to 33%. Nikon's R&D investment has also continued to grow, but the proportion of investment in lithography equipment has declined. From 2008, 26 billion yen all the way down to 16 billion yen in 2017.

Note: The semiconductor equipment of Chinese local manufacturers only accounts for 1-2% of the global market share.

Top 10 semiconductor equipment manufacturers in mainland China

1、Zhongdian Branch: Strong ability in the field of ion implanter and CMP (chemical mechanical polishing machine)

        Beijing Zhongdianke Electronic Equipment Co., Ltd., affiliated to China Electronics Technology Group (the world's top 500), is a key high-tech enterprise of the National Torch Program wholly-owned by Electric Equipment. It is located in Beijing Yizhuang Economic and Technological Development Zone. Beijing Zhongdian Branch is committed to the research and development, manufacturing and marketing services of electronic packaging equipment, automation equipment and intelligent manufacturing equipment, as well as wafer packaging foundry services. The company's self-developed wafer dicing equipment, flip-chip equipment, sorting equipment, pressure welding equipment, wafer thinning equipment have been widely used in integrated circuits (IC), semiconductor lighting (LED), micro-electro-mechanical systems (MEMS), Discrete devices, solar energy and other domestic leading packaging companies. Strong ability in the field of ion implanter and CMP (chemical mechanical polishing machine):

Ion implanter: The 45-22nm low-energy large-beam ion implanter launched in 2016 will also be validated in SMIC's production line in 2017. After verification, it will be shipped in batches to further improve the SMIC Ionizer. Localization rate.

CMP: On November 21, 2017, the 200mm CMP commercial machine independently researched and developed by the electric equipment was internally tested and sent to SMIC International Tianjin for on-line verification. This is the first time that the domestic 200mm CMP equipment has entered the integrated circuit production line.

2、Jingsheng Electromechanical: Import substitution has been successfully realized in the field of semiconductor 8-inch single crystal furnace

        Founded in December 2006, Zhejiang Jingsheng Electromechanical Co., Ltd. is a high-tech enterprise engaged in the manufacture of high-end semiconductor equipment and LED substrate materials with the mission of “developing green intelligent high-tech manufacturing industry”. The company was listed on the GEM in May 2012 (stock code: 300316), with 9 subsidiaries and 3 R&D centers, including one overseas R&D center, with provincial-level key research institutes in the industrial 4.0 direction, provincial crystals. Research institutes such as the Equipment Research Institute and post-doctoral workstations.

        The company regards technological innovation as the driving force for sustainable development. We have successively developed fully automatic single crystal furnaces, polycrystalline ingot furnaces, district melting silicon single crystal furnaces and sapphire furnaces with completely independent intellectual property rights, successfully developed and sold a variety of photovoltaic intelligent equipment, and laid out high-efficiency photovoltaic cells and components. R & D of other equipment, strive to build the most complete equipment and the most powerful equipment leading enterprises in the photovoltaic industry chain; realize the localization of crystal growth and core processing equipment for 8-12 inch large silicon wafer manufacturing in the semiconductor industry; successfully master the international leading Ultra-large size 300kg, 450kg grade sapphire crystal growth technology, sapphire material business has strong cost competitiveness and gradually form scale advantage; in the industry 4.0 direction, the company provides intelligent factory solutions for photovoltaic industry, semiconductor industry and LED industry To meet the production technology needs of customers for "networking + intelligent manufacturing" and "machine substitution".

3、Jiejia Weichuang: The products are mainly used in the photovoltaic industry.

        Shenzhen Jiejiawei Innovation Energy Equipment Co., Ltd. is a fast-growing new energy equipment R&D and manufacturing enterprise. In 2003, the company's predecessor was founded in Shenzhen, Guangdong Province. The company is building more than 60,000 square meters of industrial park in Pingshan, Shenzhen, and has built more than 30,000 square meters of industrial park in Xinbei District, Changzhou. The company employs more than 1,400 people. The company's products include single/polycrystalline fleece equipment, tubular diffusion oxidation annealing furnace, wet etching equipment, tubular plasma deposition furnace, intelligent automation equipment and other five product lines.

4、North Huachuang: strong ability in the field of oxidation furnace, etching machine, film deposition equipment and cleaning equipment

        North Huachuang Technology Group Co., Ltd. is a strategic reorganization of Beijing Qixing Huachuang Electronics Co., Ltd. and Beijing North Microelectronics Base Equipment Technology Research Center Co., Ltd. It is a leading enterprise in the domestic high-end process equipment. North Huachuang has four business groups: semiconductor equipment, vacuum equipment, new energy lithium battery equipment and precision components, providing solutions for semiconductors, new energy, ITW switch materials and other fields. The company has four major industrial manufacturing bases, and its marketing service system covers major countries and regions in the world such as Europe, America and Asia. Strong capabilities in the fields of oxidation furnaces, etching machines, thin film deposition equipment and cleaning equipment.

Oxidation Furnace: On November 30, 2017, the 12-inch vertical oxidation furnace THEORISO302MoveIn Yangtze River storage production line independently developed by North Huachuang Microelectronics subsidiary, is applied to the 3D NANDFlash process and expands the application field of domestic vertical oxidation furnace. .

Etching Machine: In 2016, a 14nm silicon etching machine was developed, which is currently being verified on the 14nm process developed by SMIC. In November 2017, China's first metal etching machine for 8-inch wafers was successfully introduced into SMIC's production line.

Thin film deposition equipment: Volume shipments in the field of 28nm PVD equipment and monolithic annealing equipment, 14nm ALD, ALPPD, LPCVD, HMPCDD and other production equipment are in production line verification.

Washing machine: The self-developed 12-inch single-chip cleaning machine is mainly used in the integrated circuit chip manufacturing process. After the successful acquisition of Akrion on August 7, 2017, the northern Huachuang Microelectronics cleaning machine product line will be supplemented to form a covered application. 8-12 inch batch and monolithic cleaner product line for semiconductors in integrated circuits, advanced packaging, power devices, MEMS and semiconductor lighting.

5、Micro-Semiconductor: Strong ability in dielectric etching machine, through-silicon via etching machine and MOCVD field for LED

         Micron's equipment is used to create the world's most complex and sophisticated technology: tiny nanodevices provide intelligent and storage capabilities for innovative products that improve human life and achieve global sustainability. Zhongwei is headquartered in Asia. As a world manufacturing innovation center, Asia has a unique advantage: a dynamic supply chain has greatly improved the operational efficiency of China and the United States. Medium etchers, through-silicon via etchers, and LEDs have strong capabilities in MOCVD:

Dielectric etching machine: At present, 22nm and below can be achieved, 14nm is also verified in the production line, and the joint research of 5nm is advanced.

Through-silicon via etching machine: mainly used in TSV advanced packaging of integrated circuit chips.

MOCVD: The company's MOCVD has reached the world's advanced level, and it has achieved import substitution for VEECO and Germany's Ai Siqiang products. The customer is a LED chip manufacturer such as Sanan Optoelectronics. As of October 2017, the shipment of its MOCVD equipment PrismoA7 has exceeded 100 units.

6、Shanghai Microelectronics

        Shanghai Microelectronics Equipment (Group) Co., Ltd. (SMEE) is mainly engaged in the development, design, manufacture, sales and technical services of semiconductor equipment, pan-semiconductor equipment and high-end intelligent equipment. The company's equipment is widely used in the manufacturing fields of integrated circuits, advanced packaging, FPD panels, MEMS, LED, Power Devices. The only company in China that is engaged in R&D and manufacturing of lithography machines. At present, the manufacturing lithography machine can only achieve 90nm, and there is a big gap between the mainstream and 65nm. However, the lithography machine used in the package can be used up to 1-2 microns. The 500 series stepper projection lithography machine developed by Shanghai Microelectronics is aimed at the IC back-package and MEMS/NEMS manufacturing fields, and has a domestic market share. More than 80%.

7、Beijing Jingyuntong: Products are mainly used in photovoltaic industry

        Beijing Jingyuntong Technology Co., Ltd. was established on August 8, 2002. It is a group enterprise with comprehensive development of high-end equipment manufacturing, new materials, new energy power generation and energy conservation and environmental protection. The leading products include monocrystalline silicon growth furnace. Photovoltaic and semiconductor equipment such as polycrystalline silicon ingot furnaces and district melting furnaces, photovoltaic products such as polycrystalline silicon ingots and silicon wafers, straight-drawn single crystal silicon rods and silicon wafers, district-fused single crystal silicon rods and silicon wafers, photovoltaic power generation and wind power generation, etc. Energy generation projects and cellular medium and low temperature SCR flue gas denitration catalysts. The company was successfully listed on the Shanghai Stock Exchange on September 8, 2011. The stock abbreviation: Beijing Yuntong, stock code: 601908. As of the end of 2017, the company's total assets were 13.451 billion yuan and its net assets were 6.586 billion yuan. In 2017, the company achieved operating income of 1.917 billion yuan and net profit of 370 million yuan.

8、Tiantong Jicheng: the products are mainly used in the photovoltaic industry

        Tiantong Jicheng Machine Technology Co., Ltd. is a technology-intensive Sino-foreign joint venture group-oriented and non-regional enterprise controlled by Tiantong Holdings Co., Ltd.

9、Shengmei Semiconductor

        Founded in May 2005, Shengmei Semiconductor Equipment (Shanghai) Co., Ltd. is a world-leading semiconductor equipment manufacturer registered in Zhangjiang Hi-Tech Park, Shanghai, China. The company has invested more than 300 million in China. It is a Chinese company specializing in R&D and production of electroplating copper equipment, copper throwing equipment and single wafer cleaning equipment in the integrated circuit manufacturing industry.

        Strong ability in the field of washing machines. The company's SAPS technology can be applied to wafer cleaning up to 65nm process; TEBO technology can realize FinFET, DRAM, 3DNAND, covering 16nm-19nm process, and the products have been applied to Shanghai Huali Microelectronics production line in batches. In addition, the company invested 30 million US dollars in Hefei in May 2017 to establish a research and development center to develop DRAM technology together with Hefei Changxin and Zhaoyi Innovation.

10、Granda

        Granda Technology (Shenzhen) Co., Ltd. is the R&D center and manufacturing center of the Granda Technology Group. Equipped with hundreds of precision CNC machining centers (MAZAK, MAKINO, FANUC, MORISEIKI, AMADA, etc.), it has formed a full set of integration capabilities such as R&D, design, production and manufacturing (mold, sheet metal, die-casting, painting and assembly). A world-class supplier of customers in the semiconductor, IT, OA, optical, and communications industries. At present, a number of independent intellectual property rights and patents have been obtained. Granda's products and solutions have been applied to dozens of world-renowned semiconductor companies and Fortune 500 companies.

        Among the top ten semiconductor devices in the world, only companies from three countries in the US, Japan and Japan are shortlisted. In 2016, the top five manufacturers of Applied Materials, ASML, LamResearch, Tokyo Electron and KLA-Tencor have a combined market share of 92%, of which the Applied Materials AMAT market share is 24%.

        ASML in the Netherlands has almost monopolized high-end lithography machines with a market share of 80%. ASML's new EUV lithography machine can be used to test the 7nm process at a price of up to $100 million. AMAT is a leader in both CVD equipment and PVD equipment, and LamResearch is the leader in etching equipment.

        With the sustained and rapid development of China's semiconductor industry, the domestic semiconductor equipment industry has shown a momentum of rapid development. With the support of major national science and technology projects and the support of local governments and science and technology innovations, the sales of domestic semiconductor equipment have grown rapidly and steadily, and many products have achieved breakthroughs from scratch. Some have even passed the assessment into mass production, in domestic integrated circuits. Run on a large production line.


                                          Home |  About us |  Product  |  Solution Provider  |   News |  Contact us  粤ICP备17091917号-1

                              HK Address: Unit 2, 4/F, Kwai Cheong Centre, 50 Kwai Cheong Road, Kwai Chung, New Territories, Hong Kong


Top